פרסומים מובילים
מדד h5 הוא מדד h למאמרים שפורסמו במהלך כל חמש השנים האחרונות. זהו מספר h הגדול ביותר שבו פורסמו המאמרים שמהם מורכב מדד h בין השנים 2018-2022, כאשר כל אחד מהם צוטט ביבליוגרפית לפחות h פעמים.הסתר
חציון h5 של פרסום הוא מספר החציון של ציטוטים ביבליוגרפיים ביחס למאמרים שמהם מורכב מדד h5 של אותו פרסום.הסתר
פרסוםמדד h5חציון h5
1.IEEE Journal of Solid-State Circuits72100
2.IEEE Transactions on Circuits and Systems I: Regular Papers6896
3.IEEE Transactions on Circuits and Systems II: Express Briefs6881
4.IEEE International Solid-State Circuits Conference6697
5.Design Automation Conference (DAC)6080
6.IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems5892
7.IEEE/ACM International Symposium on Microarchitecture5792
8.IEEE Transactions on Computers5172
9.IEEE Transactions on Very Large Scale Integration (VLSI) Systems4977
10.Design, Automation & Test in Europe Conference & Exhibition, DATE4964
11.Microprocessors and Microsystems4463
12.IEEE/ACM International Conference on Computer-Aided Design (ICCAD)4357
13.IEEE International Symposium on Circuits and Systems4156
14.IEEE Journal on Emerging and Selected Topics in Circuits and Systems4062
15.International Conference on Computer Aided Verification (CAV)3856
16.Symposium on Field Programmable Gate Arrays (FPGA)3777
17.Asia and South Pacific Design Automation Conference (ASP-DAC)3646
18.IEEE Symposium on VLSI Circuits (VLSIC)3445
19.IEEE Symposium on Field-Programmable Custom Computing Machines3051
20.Journal of Circuits, Systems and Computers3038
הערכה וקביעה של תאריכים וציטוטים ביביליוגרפיים נעשות באופן אוטומטי על ידי תוכנת מחשב.