עקוב אחר
Xin Li
Xin Li
Professor, Electrical and Computer Engineering, Duke University
כתובת אימייל מאומתת בדומיין duke.edu
כותרת
צוטט על ידי
צוטט על ידי
שנה
Computer vision algorithms and hardware implementations: A survey
X Feng, Y Jiang, X Yang, M Du, X Li
Integration 69, 309-320, 2019
3502019
Digital circuit design challenges and opportunities in the era of nanoscale CMOS
BH Calhoun, Y Cao, X Li, K Mai, LT Pileggi, RA Rutenbar, KL Shepard
Proceedings of the IEEE 96 (2), 343-365, 2008
2662008
Correlation-aware statistical timing analysis with non-gaussian delay distributions
Y Zhan, AJ Strojwas, X Li, LT Pileggi, D Newmark, M Sharma
Proceedings of the 42nd annual Design Automation Conference, 77-82, 2005
2262005
Asymptotic probability extraction for nonnormal performance distributions
X Li, J Le, P Gopalakrishnan, LT Pileggi
Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions …, 2007
217*2007
Vortex: variation-aware training for memristor X-bar
B Liu, H Li, Y Chen, X Li, Q Wu, T Huang
Proceedings of the 52nd Annual Design Automation Conference, 15, 2015
2032015
Energy Storage Sizing Taking Into Account Forecast Uncertainties and Receding Horizon Operation
K Baker, G Hug, X Li
IEEE Transactions on Sustainable Energy 8 (1), 331-340, 2017
1992017
Algorithm and hardware implementation for visual perception system in autonomous vehicle: A survey
W Shi, MB Alawieh, X Li, H Yu
Integration, the VLSI Journal 59, 148-156, 2017
1852017
A survey on edge and edge-cloud computing assisted cyber-physical systems
K Cao, S Hu, Y Shi, AW Colombo, S Karnouskos, X Li
IEEE Transactions on Industrial Informatics 17 (11), 7806-7819, 2021
1772021
STAC: statistical timing analysis with correlation
J Le, X Li, LT Pileggi
Proceedings of the 41st annual Design Automation Conference, 343-348, 2004
1652004
Reduction and IR-drop compensations techniques for reliable neuromorphic computing systems
B Liu, H Li, Y Chen, X Li, T Huang, Q Wu, M Barnell
2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 63-70, 2014
1562014
Robust analog/RF circuit design with projection-based performance modeling
X Li, P Gopalakrishnan, Y Xu, LT Pileggi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2007
151*2007
Finding deterministic solution from underdetermined equation: large-scale performance variability modeling of analog/RF circuits
X Li
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2010
131*2010
Virtual Probe: A Statistical Framework for Low-Cost Silicon Characterization of Nanoscale Integrated Circuits
W Zhang, X Li, F Liu, E Acar, RA Rutenbar, RD Blanton
Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions …, 2011
128*2011
Bayesian model fusion: large-scale performance modeling of analog and mixed-signal circuits by reusing early-stage data
F Wang, P Cachecho, W Zhang, S Sun, X Li, R Kanj, C Gu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2016
1172016
Facial expression recognition with identity and emotion joint learning
M Li, H Xu, X Huang, Z Song, X Liu, X Li
IEEE Transactions on affective computing 12 (2), 544-550, 2018
1132018
A linearized, low-phase-noise VCO-based 25-GHz PLL with autonomic biasing
B Sadhu, MA Ferriss, AS Natarajan, S Yaldiz, JO Plouchart, AV Rylyakov, ...
IEEE Journal of Solid-State Circuits 48 (5), 1138-1150, 2013
1012013
Formal Verification of Phase-Locked Loops Using Reachability Analysis and Continuization
M Althoff, A Rajhans, BH Krogh, S Yaldiz, X Li, L Pileggi
Communications of the ACM 56 (10), 97-104, 2013
992013
Modeling interconnect variability using efficient parametric model order reduction
P Li, F Liu, X Li, LT Pileggi, SR Nassif
Proceedings of the conference on Design, Automation and Test in Europe …, 2005
992005
Parameterized interconnect order reduction with explicit-and-implicit multi-parameter moment matching for inter/intra-die variations
X Li, P Li, LT Pileggi
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005 …, 2005
912005
Distributed MPC for Efficient Coordination of Storage and Renewable Energy Sources Across Control Areas
K Baker, J Guo, G Hug, X Li
IEEE, 2016
892016
המערכת אינה יכולה לבצע את הפעולה כעת. נסה שוב מאוחר יותר.
מאמרים 1–20