Follow
David Fick
David Fick
Mythic
Verified email at mythic-ai.com - Homepage
Title
Cited by
Cited by
Year
A highly resilient routing algorithm for fault-tolerant NoCs
D Fick, A DeOrio, G Chen, V Bertacco, D Sylvester, D Blaauw
2009 Design, Automation & Test in Europe Conference & Exhibition, 21-26, 2009
3172009
A cubic-millimeter energy-autonomous wireless intraocular pressure monitor
G Chen, H Ghaed, R Haque, M Wieckowski, Y Kim, G Kim, D Fick, D Kim, ...
2011 IEEE International Solid-State Circuits Conference, 310-312, 2011
2712011
Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells
G Chen, M Fojtik, D Kim, D Fick, J Park, M Seok, MT Chen, Z Foo, ...
2010 IEEE International Solid-State Circuits Conference-(ISSCC), 288-289, 2010
2622010
Vicis: A reliable network for unreliable silicon
D Fick, A DeOrio, J Hu, V Bertacco, D Blaauw, D Sylvester
Proceedings of the 46th Annual Design Automation Conference, 812-817, 2009
2462009
Bubble razor: Eliminating timing margins in an ARM cortex-M3 processor in 45 nm CMOS using architecturally independent error detection and correction
M Fojtik, D Fick, Y Kim, N Pinckney, DM Harris, D Blaauw, D Sylvester
IEEE Journal of Solid-State Circuits 48 (1), 66-81, 2012
2122012
16.3 A 23Mb/s 23pJ/b fully synthesized true-random-number generator in 28nm and 65nm CMOS
K Yang, D Fick, MB Henry, Y Lee, D Blaauw, D Sylvester
2014 IEEE International Solid-State Circuits Conference Digest of Technical …, 2014
1562014
Circuits for a cubic-millimeter energy-autonomous wireless intraocular pressure monitor
MH Ghaed, G Chen, R Haque, M Wieckowski, Y Kim, G Kim, Y Lee, I Lee, ...
IEEE Transactions on Circuits and Systems I: Regular Papers 60 (12), 3152-3162, 2013
1342013
A reliable routing architecture and algorithm for NoCs
A DeOrio, D Fick, V Bertacco, D Sylvester, D Blaauw, J Hu, G Chen
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2012
1322012
Bubble Razor: An architecture-independent approach to timing-error detection and correction
M Fojtik, D Fick, Y Kim, N Pinckney, D Harris, D Blaauw, D Sylvester
2012 IEEE International Solid-State Circuits Conference, 488-490, 2012
1312012
A millimeter-scale energy-autonomous sensor system with stacked battery and solar cells
M Fojtik, D Kim, G Chen, YS Lin, D Fick, J Park, M Seok, MT Chen, Z Foo, ...
IEEE Journal of Solid-State Circuits 48 (3), 801-813, 2013
1292013
Centip3De: A 3930 DMIPS/W Configurable Near-Threshold 3D Stacked System with 64 ARM Cortex-M3 Cores
D Fick, RG Dreslinski, B Giridhar, G Kim, S Seo, M Fojtik, S Satpathy, ...
120*
Razor-lite: A light-weight register for error detection by observing virtual supply rails
I Kwon, S Kim, D Fick, M Kim, YP Chen, D Sylvester
IEEE Journal of Solid-State Circuits 49 (9), 2054-2066, 2014
922014
Assessing the performance limits of parallelized near-threshold computing
N Pinckney, K Sewell, RG Dreslinski, D Fick, T Mudge, D Sylvester, ...
Proceedings of the 49th Annual Design Automation Conference, 1147-1152, 2012
702012
Razor-lite: A side-channel error-detection register for timing-margin recovery in 45nm SOI CMOS
S Kim, I Kwon, D Fick, M Kim, YP Chen, D Sylvester
2013 IEEE International Solid-State Circuits Conference Digest of Technical …, 2013
662013
Centip3De: A cluster-based NTC architecture with 64 ARM Cortex-M3 cores in 3D stacked 130 nm CMOS
D Fick, RG Dreslinski, B Giridhar, G Kim, S Seo, M Fojtik, S Satpathy, ...
IEEE Journal of Solid-State Circuits 48 (1), 104-117, 2012
632012
Analog in-memory subthreshold deep neural network accelerator
L Fick, D Blaauw, D Sylvester, S Skrzyniarz, M Parikh, D Fick
2017 IEEE Custom Integrated Circuits Conference (CICC), 1-4, 2017
572017
Centip3de: A 64-core, 3d stacked near-threshold system
RG Dreslinski, D Fick, B Giridhar, G Kim, S Seo, M Fojtik, S Satpathy, ...
IEEE Micro 33 (2), 8-16, 2013
552013
In situ delay-slack monitor for high-performance processors using an all-digital self-calibrating 5ps resolution time-to-digital converter
D Fick, N Liu, Z Foo, M Fojtik, J Seo, D Sylvester, D Blaauw
2010 IEEE International Solid-State Circuits Conference-(ISSCC), 188-189, 2010
472010
Mixed-signal stochastic computation demonstrated in an image sensor with integrated 2D edge detection and noise filtering
D Fick, G Kim, A Wang, D Blaauw, D Sylvester
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 1-4, 2014
352014
Floating-gate transistor array for performing weighted sum computation
L Fick, DT Blaauw, D Sylvester, MB Henry, DA Fick
US Patent 9,760,533, 2017
342017
The system can't perform the operation now. Try again later.
Articles 1–20