Follow
Hai Zhou
Title
Cited by
Cited by
Year
Global routing with crosstalk constraints
H Zhou, DF Wong
Proceedings of the 35th annual Design Automation Conference, 374-377, 1998
3001998
Double DIP: Re-evaluating security of logic encryption algorithms
Y Shen, H Zhou
Proceedings of the on Great Lakes Symposium on VLSI 2017, 179-184, 2017
2262017
CycSAT: SAT-based attack on cyclic logic encryptions
H Zhou, R Jiang, S Kong
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 49-56, 2017
1632017
Simultaneous routing and buffer insertion with restrictions on buffer locations
H Zhou, DF Wong, IM Liu, A Aziz
Proceedings of the 36th annual ACM/IEEE Design Automation Conference, 96-99, 1999
1521999
3D-STAF: Scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits
P Zhou, Y Ma, Z Li, RP Dick, L Shang, H Zhou, X Hong, Q Zhou
2007 IEEE/ACM International Conference on Computer-Aided Design, 590-597, 2007
1502007
Optimal river routing with crosstalk constraints
H Zhou, DF Wong
ACM Transactions on Design Automation of Electronic Systems (TODAES) 3 (3 …, 1998
150*1998
Yield-aware cache architectures
S Ozdemir, D Sinha, G Memik, J Adams, H Zhou
2006 39th Annual IEEE/ACM International Symposium on Microarchitecture …, 2006
1432006
BDD based procedures for a theory of equality with uninterpreted functions
A Goel, K Sajid, H Zhou, A Aziz, V Singhal
Computer Aided Verification: 10th International Conference, CAV'98 Vancouver …, 1998
138*1998
Statistical reliability analysis under process variation and aging effects
Y Lu, L Shang, H Zhou, H Zhu, F Yang, X Zeng
Proceedings of the 46th Annual Design Automation Conference, 514-519, 2009
1272009
Efficient Steiner tree construction based on spanning graphs
H Zhou
Proceedings of the 2003 international symposium on Physical design, 152-157, 2003
1072003
Integrated floorplanning and interconnect planning
HM Chen, MDF Wong, H Zhou, FY Young, HH Yang, N Sherwani
Layout optimization in VLSI design, 1-18, 2001
1062001
Track assignment: A desirable intermediate step between global routing and detailed routing
S Batterywala, N Shenoy, W Nicholls, H Zhou
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided …, 2002
1002002
Statistical gate sizing for timing yield optimization
D Sinha, NV Shenoy, H Zhou
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005 …, 2005
982005
Efficient minimum spanning tree construction without Delaunay triangulation
H Zhou, N Shenoy, W Nicholls
Proceedings of the 2001 Asia and South Pacific Design Automation Conference …, 2001
972001
Incremental exploration of the combined physical and behavioral design space
Z Gu, J Wang, RP Dick, H Zhou
Proceedings of the 42nd annual Design Automation Conference, 208-213, 2005
92*2005
Advances in computation of the maximum of a set of Gaussian random variables
D Sinha, H Zhou, NV Shenoy
IEEE Transactions on Computer-Aided design of integrated circuits and …, 2007
87*2007
EBOARST: An efficient edge-based obstacle-avoiding rectilinear Steiner tree construction algorithm
J Long, H Zhou, SO Memik
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2008
69*2008
Structural transformation for best-possible obfuscation of sequential circuits
L Li, H Zhou
2013 IEEE International Symposium on Hardware-Oriented Security and Trust …, 2013
682013
An efficient buffer insertion algorithm for large networks based on Lagrangian relaxation
IM Liu, A Aziz, DF Wong, H Zhou
Proceedings 1999 IEEE International Conference on Computer Design: VLSI in …, 1999
67*1999
Resolving the trilemma in logic encryption
H Zhou, A Rezaei, Y Shen
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2019
66*2019
The system can't perform the operation now. Try again later.
Articles 1–20