Follow
Xiaochen Guo
Xiaochen Guo
Verified email at lehigh.edu
Title
Cited by
Cited by
Year
Resistive computation: Avoiding the power wall with low-leakage, STT-MRAM based computing
X Guo, E Ipek, T Soyata
ACM SIGARCH computer architecture news 38 (3), 371-382, 2010
2642010
AC-DIMM: associative computing with stt-mram
Q Guo, X Guo, R Patel, E Ipek, EG Friedman
ACM SIGARCH Computer Architecture News 41 (3), 189-200, 2013
1852013
A resistive TCAM accelerator for data-intensive computing
Q Guo, X Guo, Y Bai, E Ipek
Proceedings of the 44th Annual IEEE/ACM International Symposium on …, 2011
1402011
Dynamic temperature adjustments in spin transfer torque magnetoresistive random-access memory (STT-MRAM)
P Bose, A Buyuktosunoglu, X Guo, HC Hunter, JA Rivers, V Srinivasan
US Patent 9,351,899, 2016
662016
Determining and storing bit error rate relationships in spin transfer torque magnetoresistive random-access memory (STT-MRAM)
P Bose, A Buyuktosunoglu, X Guo, HC Hunter, JA Rivers, V Srinivasan
US Patent 9,431,084, 2016
652016
Long short term memory based hardware prefetcher: a case study
Y Zeng, X Guo
Proceedings of the International Symposium on Memory Systems, 305-311, 2017
622017
Reducing switching latency and energy in STT-MRAM caches with field-assisted writing
R Patel, X Guo, Q Guo, E Ipek, EG Friedman
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (1), 129-138, 2015
302015
Resistive memory accelerator
E Friedman, I Richter, X Guo, M Kazemi, K Pas, R Patel, E Ipek, J Liu
US Patent 10,297,315, 2019
292019
Inter-disciplinary research challenges in computer systems for the 2020s
A Cohen, X Shen, J Torrellas, J Tuck, Y Zhou, S Adve, I Akturk, S Bagchi, ...
National Science Foundation, 2018
282018
Sanitizer: Mitigating the impact of expensive ECC checks on STT-MRAM based main memories
X Guo, MN Bojnordi, Q Guo, E Ipek
IEEE Transactions on Computers 67 (6), 847-860, 2017
282017
Memristive accelerator for extreme scale linear solvers
I Richter, K Pas, X Guo, R Patel, J Liu, E Ipek, EG Friedman
Government microcircuit applications & critical technology conference …, 2015
262015
Resistive ternary content addressable memory systems for data-intensive computing
Q Guo, X Guo, Y Bai, R Patel, E Ipek, EG Friedman
IEEE Micro 35 (5), 62-71, 2015
232015
Flow mapping and data distribution on mesh-based deep learning accelerator
SYH Mirmahaleh, M Reshadi, H Shabani, X Guo, N Bagherzadeh
Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip …, 2019
192019
Content aware refresh: Exploiting the asymmetry of DRAM retention errors to reduce the refresh frequency of less vulnerable data
S Wang, MN Bojnordi, X Guo, E Ipek
IEEE Transactions on Computers 68 (3), 362-374, 2018
192018
RnR: A software-assisted record-and-replay hardware prefetcher
C Zhang, Y Zeng, J Shalf, X Guo
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture …, 2020
162020
Modeling the IPv6 internet AS-level topology
B Xiao, L Liu, X Guo, K Xu
Physica A: Statistical Mechanics and its Applications 388 (4), 529-540, 2009
162009
An in-memory analog computing co-processor for energy-efficient cnn inference on mobile devices
M Elbtity, A Singh, B Reidy, X Guo, R Zand
2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 188-193, 2021
142021
Emerging monolithic 3D integration: Opportunities and challenges from the computer system perspective
Y Cheng, X Guo, VF Pavlidis
Integration 85, 97-107, 2022
112022
Cluscross: a new topology for silicon interposer-based Network-on-Chip
H Shabani, X Guo
Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip …, 2019
112019
A supervised STDP-based training algorithm for living neural networks
Y Zeng, K Devincentis, Y Xiao, ZI Ferdous, X Guo, Z Yan, Y Berdichevsky
2018 IEEE International Conference on Acoustics, Speech and Signal …, 2018
92018
The system can't perform the operation now. Try again later.
Articles 1–20