Follow
Xiaoqing Xu
Xiaoqing Xu
X development LLC
Verified email at x.team
Title
Cited by
Cited by
Year
Self-aligned double patterning aware pin access and standard cell layout co-optimization
X Xu, B Cline, G Yeric, B Yu, DZ Pan
Proceedings of the 2014 on International symposium on physical design, 101-108, 2014
832014
MOSAIC: Mask optimizing solution with process window aware inverse correction
JR Gao, X Xu, B Yu, DZ Pan
Proceedings of the 51st Annual Design Automation Conference, 1-6, 2014
812014
Computer implemented system and method for generating a layout of a cell defining a circuit component
P De Dood, MW Frederick, JC Wang, BDN Lee, BT Cline, X Xu, AW Chen, ...
US Patent 10,083,269, 2018
772018
Methodology for standard cell compliance and detailed placement for triple patterning lithography
B Yu, X Xu, JR Gao, Y Lin, Z Li, CJ Alpert, DZ Pan
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
772015
MrDP: Multiple-row detailed placement of heterogeneous-sized cells for advanced nodes
Y Lin, B Yu, X Xu, JR Gao, N Viswanathan, WH Liu, Z Li, CJ Alpert, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2017
752017
PARR: Pin access planning and regular routing for self-aligned double patterning
X Xu, B Yu, JR Gao, CL Hsu, DZ Pan
Proceedings of the 52nd Annual Design Automation Conference, 28, 2015
752015
Standard cell library design and optimization methodology for ASAP7 PDK
X Xu, N Shah, A Evans, S Sinha, B Cline, G Yeric
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 999 …, 2017
502017
Design for manufacturability and reliability in extreme-scaling VLSI
B Yu, X Xu, S Roy, Y Lin, J Ou, DZ Pan
Science China Information Sciences 59, 1-23, 2016
442016
A machine learning based framework for sub-resolution assist feature generation
X Xu, T Matsunawa, S Nojima, C Kodama, T Kotani, DZ Pan
Proceedings of the 2016 on International Symposium on Physical Design, 161-168, 2016
422016
New insights into AC RTN in scaled high-к/metal-gate MOSFETs under digital circuit operations
J Zou, R Wang, N Gong, R Huang, X Xu, J Ou, C Liu, J Wang, J Liu, J Wu, ...
2012 Symposium on VLSI Technology (VLSIT), 139-140, 2012
422012
Pushing multiple patterning in sub-10nm: Are we ready?
DZ Pan, L Liebmann, B Yu, X Xu, Y Lin
Proceedings of the 52nd annual design automation conference, 1-6, 2015
382015
Net2: A graph attention network method customized for pre-placement net length estimation
Z Xie, R Liang, X Xu, J Hu, Y Duan, Y Chen
Proceedings of the 26th Asia and South Pacific Design Automation Conference …, 2021
372021
Hierarchical and analytical placement techniques for high-performance analog circuits
B Xu, S Li, X Xu, N Sun, DZ Pan
Proceedings of the 2017 ACM on International Symposium on Physical Design, 55-62, 2017
312017
A practical split manufacturing framework for trojan prevention via simultaneous wire lifting and cell insertion
M Li, B Yu, Y Lin, X Xu, W Li, DZ Pan
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
302018
APOLLO: An automated power modeling framework for runtime power introspection in high-volume commercial microprocessors
Z Xie, X Xu, M Walker, J Knebel, K Palaniswamy, N Hebert, J Hu, H Yang, ...
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
282021
Subresolution assist feature generation with supervised data learning
X Xu, Y Lin, M Li, T Matsunawa, S Nojima, C Kodama, T Kotani, DZ Pan
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2017
272017
Machine learning for mask/wafer hotspot detection and mask synthesis
Y Lin, X Xu, J Ou, DZ Pan
Photomask Technology 2017 10451, 72-84, 2017
252017
Towards the systematic study of aging induced dynamic variability in nano-MOSFETs: Adding the missing cycle-to-cycle variation effects into device-to-device variation
C Liu, J Zou, R Wang, R Huang, X Xu, J Liu, H Wu, Y Wang
2011 International Electron Devices Meeting, 25.4. 1-25.4. 4, 2011
242011
Fast IR drop estimation with machine learning
Z Xie, H Li, X Xu, J Hu, Y Chen
Proceedings of the 39th international conference on computer-aided design, 1-8, 2020
232020
Concurrent pin access optimization for unidirectional routing
X Xu, Y Lin, V Livramento, DZ Pan
Proceedings of the 54th Annual Design Automation Conference 2017, 1-6, 2017
212017
The system can't perform the operation now. Try again later.
Articles 1–20