Follow
Ravishankar Rao
Title
Cited by
Cited by
Year
Battery modeling for energy aware system design
R Rao, S Vrudhula, DN Rakhmatov
Computer 36 (12), 77-87, 2003
6332003
Battery optimization vs energy optimization: Which to choose and when?
R Rao, S Vrudhula
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005 …, 2005
862005
Performance optimal processor throttling under thermal constraints
R Rao, S Vrudhula
Proceedings of the 2007 international conference on Compilers, architecture …, 2007
782007
Throughput optimal task allocation under thermal constraints for multi-core processors
V Hanumaiah, R Rao, S Vrudhula, KS Chatha
Proceedings of the 46th Annual Design Automation Conference, 776-781, 2009
712009
An optimal analytical solution for processor speed control with thermal constraints
R Rao, S Vrudhula, C Chakrabarti, N Chang
Proceedings of the 2006 international symposium on Low power electronics and …, 2006
662006
Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors
R Rao, S Vrudhula
2008 IEEE/ACM International Conference on Computer-Aided Design, 537-542, 2008
602008
Throughput of multi-core processors under thermal constraints
R Rao, S Vrudhula, C Chakrabarti
Proceedings of the 2007 international symposium on Low power electronics and …, 2007
592007
Fast and accurate prediction of the steady-state throughput of multicore processors under thermal constraints
R Rao, S Vrudhula
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2009
442009
Analysis of discharge techniques for multiple battery systems
R Rao, S Vrudhula, D Rakhmatov
Proceedings of the 2003 international symposium on Low power electronics and …, 2003
412003
Energy optimal speed control of devices with discrete speed sets
R Rao, S Vrudhula
Proceedings of the 42nd annual Design Automation Conference, 901-904, 2005
162005
Disk drive energy optimization for audio-video applications
R Rao, S Vrudhula, MS Krishnan
Proceedings of the 2004 international conference on Compilers, architecture …, 2004
112004
Analytical results for design space exploration of multi-core processors employing thread migration
R Rao, S Vrudhula, K Berezowski
Proceedings of the 2008 international symposium on Low Power Electronics …, 2008
92008
An efficient combinationality check technique for the synthesis of cyclic combinational circuits
V Agarwal, N Kankani, R Rao, S Bhardwaj, J Wang
Proceedings of the 2005 Asia and South Pacific Design Automation Conference …, 2005
82005
Fast and accurate techniques for early design space exploration and dynamic thermal management of multi-core processors
R Rao
Arizona State University, 2008
52008
Energy optimization for a two-device data flow chain
R Rao, S Vrudhula
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004 …, 2004
42004
Energy optimal speed control of a producer--consumer device pair
R Rao, S Vrudhula
ACM Transactions on Embedded Computing Systems (TECS) 6 (4), 30-es, 2007
32007
Energy-optimal speed control of a generic device
R Rao, S Vrudhula
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2006
22006
The system can't perform the operation now. Try again later.
Articles 1–17