עקוב אחר
Tsung-Ching Jim Huang
Tsung-Ching Jim Huang
Research Scientist, Facebook
כתובת אימייל מאומתת בדומיין ieee.org
כותרת
צוטט על ידי
צוטט על ידי
שנה
Biocompatible and totally disintegrable semiconducting polymer for ultrathin and ultralightweight transient electronics
T Lei, M Guan, J Liu, HC Lin, R Pfattner, L Shaw, AF McGuire, TC Huang, ...
Proceedings of the National Academy of Sciences 114 (20), 5107-5112, 2017
4162017
Pseudo-CMOS: A design style for low-cost and robust flexible electronics
TC Huang, K Fukuda, CM Lo, YH Yeh, T Sekitani, T Someya, KT Cheng
IEEE Transactions on Electron Devices 58 (1), 141-150, 2010
2562010
Low-voltage high-performance flexible digital and analog circuits based on ultrahigh-purity semiconducting carbon nanotubes
T Lei, LL Shao, YQ Zheng, G Pitner, G Fang, C Zhu, S Li, R Beausoleil, ...
Nature communications 10 (1), 2161, 2019
1882019
The 2021 flexible and printed electronics roadmap
Y Bonnassieux, CJ Brabec, Y Cao, TB Carmichael, ML Chabinyc, ...
Flexible and printed electronics 6 (2), 023001, 2021
1242021
Sheet-type flexible organic active matrix amplifier system using pseudo-CMOS circuits with floating-gate structure
T Yokota, T Sekitani, T Tokuhara, N Take, U Zschieschang, H Klauk, ...
IEEE Transactions on Electron Devices 59 (12), 3434-3441, 2012
1092012
Insole pedometer with piezoelectric energy harvester and 2 V organic circuits
K Ishida, TC Huang, K Honda, Y Shinozuka, H Fuketa, T Yokota, ...
IEEE Journal of Solid-State Circuits 48 (1), 255-264, 2012
1012012
8.4 A 28Gb/s 1pJ/b shared-inductor optical receiver with 56% chip-area reduction in 28nm CMOS
TC Huang, TW Chung, CH Chern, MC Huang, CC Lin, FL Hsueh
2014 IEEE International Solid-State Circuits Conference Digest of Technical …, 2014
662014
Organic pseudo-CMOS circuits for low-voltage large-gain high-speed operation
K Fukuda, T Sekitani, T Yokota, K Kuribara, TC Huang, T Sakurai, ...
IEEE Electron Device Letters 32 (10), 1448-1450, 2011
652011
Self-calibrated voltage regulator
CH Chern, TW Chung, MC Huang, CC Lin, TCJ Huang
US Patent 9,461,539, 2016
64*2016
Design for low power and reliable flexible electronics: Self-tunable cell-library design
TC Huang, KT Cheng
journal of display technology 5 (6), 206-215, 2009
602009
Misleading energy and performance claims in sub/near threshold digital systems
Y Pu, X Zhang, J Huang, A Muramatsu, M Nomura, K Hirairi, H Takata, ...
2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 625-631, 2010
482010
Pseudo-CMOS: A novel design style for flexible electronics
TC Huang, K Fukuda, CM Lo, YH Yeh, T Sekitani, T Someya, KT Cheng
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010 …, 2010
362010
Variation-aware adaptive tuning for nanophotonic interconnects
R Wu, CH Chen, C Li, TC Huang, F Lan, C Zhang, Y Pan, JE Bowers, ...
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 487-493, 2015
332015
DarkLight: a search for dark forces at the Jefferson laboratory free-electron laser facility
J Balewski, J Bernauer, W Bertozzi, J Bessuille, B Buck, R Cowan, K Dow, ...
arXiv preprint arXiv:1307.4432, 2013
292013
Process design kit for flexible hybrid electronics
L Shao, TC Huang, T Lei, Z Bao, R Beausoleil, KT Cheng
2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), 651-657, 2018
272018
Wristband Vital: A wearable multi-sensor microsystem for real-time assistance via low-power Bluetooth link
K Braam, TC Huang, CH Chen, E Montgomery, S Vo, R Beausoleil
2015 IEEE 2nd World Forum on Internet of Things (WF-IoT), 87-91, 2015
252015
Reliability analysis for flexible electronics: Case study of integrated a-Si: H TFT scan driver
TC Huang, KT Cheng, HY Tseng, CP Kung
ACM Journal on Emerging Technologies in Computing Systems (JETC) 4 (3), 1-23, 2008
252008
A 100-V AC energy meter integrating 20-V organic CMOS digital and analog circuits with a floating gate for process variation compensation and a 100-V organic pMOS rectifier
K Ishida, TC Huang, K Honda, T Sekitani, H Nakajima, H Maeda, ...
IEEE Journal of Solid-State Circuits 47 (1), 301-309, 2011
242011
Robust circuit design for flexible electronics
TC Huang, JL Huang, KT Cheng
IEEE Design & Test of Computers 28 (6), 8-15, 2011
222011
Robust design and design automation for flexible hybrid electronics
TC Huang, L Shao, T Lei, R Beausoleil, Z Bao, KT Cheng
2017 IEEE International Symposium on Circuits and Systems (ISCAS), 1-4, 2017
212017
המערכת אינה יכולה לבצע את הפעולה כעת. נסה שוב מאוחר יותר.
מאמרים 1–20