Follow
Ankur Limaye
Ankur Limaye
Verified email at pnnl.gov
Title
Cited by
Cited by
Year
A workload characterization of the spec cpu2017 benchmark suite
A Limaye, T Adegbija
2018 IEEE International Symposium on Performance Analysis of Systems and …, 2018
1112018
HERMIT: A benchmark suite for the internet of medical things
A Limaye, T Adegbija
IEEE Internet of Things Journal 5 (5), 4212-4222, 2018
942018
A workload characterization for the internet of medical things (IoMT)
A Limaye, T Adegbija
2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 302-307, 2017
342017
Automated generation of integrated digital and spiking neuromorphic machine learning accelerators
S Curzel, NB Agostini, S Song, I Dagli, A Limaye, C Tan, M Minutoli, ...
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-7, 2021
182021
ECG-based authentication using timing-aware domain-specific architecture
R Cordeiro, D Gajaria, A Limaye, T Adegbija, N Karimian, F Tehranipoor
IEEE transactions on computer-aided design of integrated circuits and …, 2020
182020
Bridging Python to silicon: The SODA toolchain
NB Agostini, S Curzel, JJ Zhang, A Limaye, C Tan, V Amatya, M Minutoli, ...
IEEE Micro 42 (5), 78-88, 2022
162022
Memory efficient VLSI architecture for lifting-based DWT
AD Darji, A Limaye
2014 IEEE 57th International Midwest Symposium on Circuits and Systems …, 2014
92014
Towards automatic and agile AI/ML accelerator design with end-to-end synthesis
JJ Zhang, NB Agostini, S Song, C Tan, A Limaye, V Amatya, J Manzano, ...
2021 IEEE 32nd International Conference on Application-specific Systems …, 2021
82021
Energy characterization of graph workloads
A Limaye, A Tumeo, T Adegbija
Sustainable Computing: Informatics and Systems 29, 100465, 2021
72021
End-to-End Synthesis of Dynamically Controlled Machine Learning Accelerators
S Curzel, NB Agostini, VG Castellana, M Minutoli, A Limaye, J Manzano, ...
IEEE Transactions on Computers 71 (12), 3074-3087, 2022
42022
The SODA approach: leveraging high-level synthesis for hardware/software co-design and hardware specialization
NB Agostini, S Curzel, A Limaye, V Amatya, M Minutoli, VG Castellana, ...
Proceedings of the 59th ACM/IEEE Design Automation Conference, 1359-1362, 2022
22022
SO (DA)^ 2: End-to-end Generation of Specialized Reconfigurable Architectures (Invited Talk)
A Tumeo, NB Agostini, S Curzel, A Limaye, C Tan, V Amatya, M Minutoli, ...
13th Workshop on Parallel Programming and Run-Time Management Techniques for …, 2022
22022
Flipping-based high speed VLSI architecture for 2-D lifting DWT
AD Darji, K Shashikanth, A Limaye, SN Merchant, AN Chandorkar
2014 IEEE 57th International Midwest Symposium on Circuits and Systems …, 2014
22014
Towards On-Chip Learning for Low Latency Reasoning with End-to-End Synthesis
VG Castellana, NB Agostini, A Limaye, V Amatya, M Minutoli, J Manzano, ...
Proceedings of the 28th Asia and South Pacific Design Automation Conference …, 2023
12023
DOSAGE: generating domain-specific accelerators for resource-constrained computing
A Limaye, T Adegbija
2021 IEEE/ACM International Symposium on Low Power Electronics and Design …, 2021
12021
Towards Automated Generation of Chiplet-Based Systems Invited Paper
A Limaye, C Barone, NB Agostini, M Minutoli, J Manzano, VG Castellana, ...
2024 29th Asia and South Pacific Design Automation Conference (ASP-DAC), 771-776, 2024
2024
SODA Synthesizer: an Open-source, Multi-level, Modular, Extensible Compiler from High-level Frameworks to Silicon
N Bohm Agostini, AM Limaye, M Minutoli, VG Castellana, ...
Pacific Northwest National Lab.(PNNL), Richland, WA (United States), 2022
2022
SODA Synthesizer: an Open-source, Multi-level, Modular, Extensible Compiler from High-level Frameworks to Silicon
NB Agostini, A Limaye, M Minutoli, VG Castellana, J Manzano, A Tumeo, ...
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided …, 2022
2022
The SODA Approach: Leveraging High-Level Synthesis for Hardware/Software Co-design and Hardware Specialization
N Bohm Agostini, S Curzel, AM Limaye, VC Amatya, M Minutoli, ...
Pacific Northwest National Lab.(PNNL), Richland, WA (United States), 2022
2022
From High-Level Frameworks to custom Silicon with SODA.
S Curzel, NB Agostini, R Neff, A Limaye, JJ Zhang, V Amatya, M Minutoli, ...
HCS, 1-13, 2022
2022
The system can't perform the operation now. Try again later.
Articles 1–20