Follow
Hoda Naghibijouybari
Hoda Naghibijouybari
Verified email at qti.qualcomm.com
Title
Cited by
Cited by
Year
Rendered Insecure: GPU Side Channel Attacks are Practical
H Naghibijouybari, A Neupane, Z Qian, NB Abu-Ghazaleh
Proceedings of the 2018 ACM SIGSAC Conference on Computer and Communications …, 2018
1872018
Constructing and characterizing covert channels on GPGPUs
H Naghibijouybari, KN Khasawneh, N Abu-Ghazaleh
Proceedings of the 50th Annual IEEE/ACM International Symposium on …, 2017
712017
GPUGuard: mitigating contention based side and covert channel attacks on GPUs
Q Xu, H Naghibijouybari, S Wang, N Abu-Ghazaleh, M Annavaram
Proceedings of the ACM International Conference on Supercomputing, 497-509, 2019
402019
Leaky buddies: Cross-component covert channels on integrated cpu-gpu systems
SB Dutta, H Naghibijouybari, N Abu-Ghazaleh, A Marquez, K Barker
2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture …, 2021
312021
A low overhead, fault tolerant and congestion aware routing algorithm for 3D mesh-based Network-on-Chips
H NaghibiJouybari, K Mohammadi
Microprocessors and Microsystems 38 (8), 991-999, 2014
252014
Covert Channels on GPGPUs
H Naghibijouybari, N Abu-Ghazaleh
IEEE Computer Architecture Letters, 2016
162016
Side channel attacks on gpus
H Naghibijouybari, A Neupane, Z Qian, N Abu-Ghazaleh
IEEE Transactions on Dependable and Secure Computing 18 (4), 1950-1961, 2019
112019
Spy in the GPU-box: Covert and side channel attacks on multi-GPU systems
SB Dutta, H Naghibijouybari, A Gupta, N Abu-Ghazaleh, A Marquez, ...
Proceedings of the 50th Annual International Symposium on Computer …, 2023
92023
Leaky buddies: Cross-component covert channels on integrated cpu-gpu systems. In 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA)
SB Dutta, H Naghibijouybari, N Abu-Ghazaleh, A Marquez, K Barker
P1-13, 2021
92021
Sealer: In-sram aes for high-performance and low-overhead memory encryption
J Zhang, H Naghibijouybari, E Sadredini
Proceedings of the ACM/IEEE International Symposium on Low Power Electronics …, 2022
72022
FT-Z-OE: A fault tolerant and low overhead routing algorithm on TSV-based 3D network on chip links
H NaghibiJouybari, K Mohammadi
International Journal of Computer Applications 115 (2), 2015
52015
Microarchitectural attacks in heterogeneous systems: A survey
H Naghibijouybari, EM Koruyeh, N Abu-Ghazaleh
ACM Computing Surveys 55 (7), 1-40, 2022
42022
Exploiting parallel memory write requests for covert channel attacks in integrated cpu-gpu systems
G Almusaddar, H Naghibijouybari
arXiv preprint arXiv:2307.16123, 2023
22023
Beyond the CPU: Side–Channel Attacks on GPUs
H Naghibijouybari, A Neupane, Z Qian, N Abu-Ghazaleh
IEEE Design & Test 38 (3), 15-21, 2021
22021
Securing machine learning architectures and systems
S HajiAmin Shirazi, H Naghibijouybari, N Abu-Ghazaleh
Proceedings of the 2020 on Great Lakes Symposium on VLSI, 499-506, 2020
22020
Security of graphics processing units (gpus) in heterogeneous systems
H Naghibijouybari
University of California, Riverside, 2020
22020
WebGPU-SPY: Finding Fingerprints in the Sandbox through GPU Cache Attacks
E Ferguson, A Wilson, H Naghibijouybari
arXiv preprint arXiv:2401.04349, 2024
2024
Rendered Insecure: GPU Side Channel A acks are Practical
H Naghibijouybari, A Neupane, Z Qian, N Abu-Ghazaleh
2018
The system can't perform the operation now. Try again later.
Articles 1–18